且构网

分享程序员开发的那些事...
且构网 - 分享程序员编程开发的那些事

VHDL - 限定表达式必须与上下文隐含的表达式类型相匹配

更新时间:2021-11-09 22:14:36

好吧,这显然是因为我在包和架构中都定义了类型ram_type".

Ok, apparently this happen because I defined the type "ram_type" in both the package and the architecture.

删除架构中的ram_type"定义后,错误消失了.

After deleting the "ram_type" definition in the architecture the error is gone.